Get Even More Visitors To Your Blog, Upgrade To A Business Listing >>

INTEL UNVEILS INDUSTRY-LEADING GLASS SUBSTRATES TO MEET DEMAND FOR MORE POWERFUL COMPUTE

Glass substrates help overcome limitations of organic materials by enabling an order of magnitude improvement in design rules needed for future data centres and AI products.


Intel announced one of the industry’s first glass substrates for next-generation advanced packaging, planned for the latter part of this decade. This breakthrough achievement will enable the continued scaling of transistors in a package and advance Moore’s Law to deliver data-centric applications.

Compared to today’s organic substrates, glass offers distinctive properties such as ultra-low flatness and better thermal and mechanical stability, resulting in much higher interconnect density in a substrate. These benefits will allow chip architects to create high-density, high-performance chip packages for data-intensive workloads such as artificial intelligence (AI). Intel is on track to deliver complete glass substrate solutions to the market in the second half of this decade, allowing the industry to continue advancing Moore’s Law beyond 2030.

As the demand for more powerful computing increases and the semiconductor industry moves into the heterogeneous era that uses multiple “chiplets” in a package, improvements in signaling speed, power delivery, design rules and stability of package substrates will be essential. Glass substrates possess superior mechanical, physical and optical properties that allow for more transistors to be connected in a package, providing better scaling and enabling assembly of larger chiplet complexes (called “system-in-package”) compared to organic substrates in use today. Chip architects will have the ability to pack more tiles – also called chiplets – in a smaller footprint on one package, while achieving performance and density gains with greater flexibility and lower overall cost and power usage.

Glass substrates will initially be introduced into the market where they can be leveraged the most: applications and workloads requiring larger form factor packages (i.e., data centres, AI, graphics) and higher speed capabilities.

Glass substrates can tolerate higher temperatures, offer 50% less pattern distortion, and have ultra-low flatness for improved depth of focus for lithography, and have the dimensional stability needed for extremely tight layer-to-layer interconnect overlay. As a result of these distinctive properties, a 10x increase in interconnect density is possible on glass substrates. Further, improved mechanical properties of glass enable ultra-large form-factor packages with very high assembly yields.

Glass substrates’ tolerance to higher temperatures also offers chip architects flexibility on how to set the design rules for power delivery and signal routing because it gives them the ability to seamlessly integrate optical interconnects, as well as embed inductors and capacitors into the glass at higher temperature processing. This allows for better power delivery solutions while achieving high-speed signalling that is needed at much lower power. These many benefits bring the industry closer to being able to scale 1 trillion transistors on a package by 2030.




Intel has been researching and evaluating the reliability of glass substrates as a replacement for organic substrates for more than a decade. The company has a long history of enabling next-generation packaging, having led the industry in the transition from ceramic package to organic package in the 1990s, being the first to enable halogen and lead-free packages, and being the inventor of advanced embedded die packaging technologies, the industry’s first active 3D stacking technologies. As a result, Intel has been able to unlock an entire ecosystem around these technologies from equipment, chemical and materials suppliers to substrate manufacturers.

www.intel.com

Powered by
Induportals Media Publishing



This post first appeared on Industry Emea, please read the originial post: here

Share the post

INTEL UNVEILS INDUSTRY-LEADING GLASS SUBSTRATES TO MEET DEMAND FOR MORE POWERFUL COMPUTE

×

Subscribe to Industry Emea

Get updates delivered right to your inbox!

Thank you for your subscription

×